chaguwang.cn-查股网.中国
查股网.CN
拓荆科技(688072)内幕信息消息披露
 
个股最新内幕信息查询:    
 

【山证电子】拓荆科技:薄膜沉积设备领军者,业绩优异长期增长确定性强

http://www.chaguwang.cn  2024-02-05  拓荆科技内幕信息

来源 :山西证券研究所2024-02-05

  投资要点

  薄膜沉积赛道领军者,订单饱满业绩亮眼。公司聚焦薄膜沉积设备研发生产,目前已形成PECVD、ALD、SACVD、HDPCVD等薄膜设备产品系列,广泛应用于国内集成电路逻辑芯片、存储芯片等制造产线,性能参数已达到国际同类设备水平。受益下游扩产和国产替代,公司订单饱满收入逐年提升,盈利水平拾级而上。预计2023年末在手销售订单金额超过64亿元(不含Demo订单),较上年同期增加39.07%。

  薄膜沉积是半导体制造核心设备,技术壁垒高国产替代空间广阔。薄膜性能直接影响电路图形转移质量和芯片芯能,设备设计制造壁垒较高。2022年薄膜沉积设备在全球半导体设备市场中占比为22%,市场规模约为230亿美元,主要由海外厂商垄断。薄膜沉积设备国产化进程较慢,替代空间广阔。若国产化率提升至50%,对应全球千亿级设备市场规模,国内厂商未来市场空间可达30亿美元以上。

  技术实力确立领先优势,产能扩充与布局拓展护航长期增长。公司设备型号丰富,广泛覆盖不同薄膜材料工艺需求,产品已适配国内最先进的28/14nm逻辑芯片、19/17nmDRAM芯片和64/128层3D NAND FLASH晶圆制造产线,及2.5D、3D先进封装和其他泛半导体领域。公司核心产品PECVD设备2022年收入占比超90%,已实现通用介质薄膜材料、先进介质薄膜材料等领域的产业化应用。公司募投扩产与研发项目,积极把握国产替代下市场扩容;布局混合键合领域,抢抓先进封装加速机遇。目前,公司晶圆对晶圆键合产品(Dione 300)已实现量产,并获得复购订单;芯片对晶圆键合表面预处理产品(Pollux)已出货至客户端验证,利好长期业绩增长。公司坚持高研发投入和创新驱动,研发费率高于可比公司水平。公司股权激励计划覆盖广泛,有助绑定员工利益,考核目标设定彰显对业绩长期向好的充分信心。

  盈利预测、估值分析和投资建议:预计2023-2025年公司归母净利润分别为6.09/8.36/10.96亿元,同比增长65.4%/37.3%/31.1%,EPS为3.24/4.44/5.83元,对应2024年2月2日收盘价159.66元,PE为49.3/35.9/27.4倍。首次覆盖给予“增持-A”评级。

  风险提示:下游恢复不及预期,市场竞争加剧,扩产及研发进展不及预期。

  财务数据与估值

  

  资料来源:最闻,山西证券研究所

  【薄膜沉积赛道领军者,披荆斩棘高速成长】

  聚焦薄膜沉积设备,产品批量出货一线晶圆厂

  深耕半导体专用设备领域,聚焦薄膜沉积设备研发和产业化应用。公司专注于半导体薄膜沉积设备业务,自2010年成立以来始终坚持自主研发,先后多次承担国家重大科技专项/课题。公司在PECVD领域具有十余年研发和产业化经验,2011年公司首台12英寸PECVD出厂到中芯国际验证,2017年首台量产型3D NAND PECVD出厂到客户端。2016/2019/2021年公司分别完成ALD/SACVD/HDPCVD产品研发并出厂到客户端。截至2023H1末,公司薄膜沉积设备在客户端累计流片量已突破1.2亿片。

  图1:公司发展历程

  资料来源:招股说明书,公司官网,山西证券研究所

  持续拓展薄膜工艺应用领域,产品批量出货一线晶圆厂。公司不断提升量产薄膜设备市场应用规模和覆盖面,目前已形成PECVD、ALD、SACVD、HDPCVD等薄膜设备产品系列,在集成电路逻辑芯片、存储芯片制造等领域得到广泛应用,产品已批量发往国内集成电路晶圆厂产线。同时,公司积极推进混合键合设备产品的产业化验证,开辟第二业务增长曲线。

  表1:公司主要产品系列及应用领域

  

  资料来源:2023年半年报,山西证券研究所

  股权结构清晰,管理层技术履历丰厚

  公司股权结构清晰,国家集成电路基金为第一大股东。公司无控股股东和实际控制人,截至2023年9月30日,公司持股5%以上的股东包括国家集成电路基金、国投上海和中微公司,持股比例分别为19.86%、13.68%和7.41%。

  图2:公司股权结构

  资料来源:2023年三季报、半年报,山西证券研究所

  管理层技术履历丰厚,集聚海内外研发管理经验。董事长、核心技术人员吕光泉博士毕业于美国加州大学圣地亚哥分校,先后任职于美国科学基金会尖端电子材料研究中心、美国诺发及多家海外公司,历任副研究员、工程技术副总裁等职。副总经理、核心技术人员陈新益博士自2013年起,在全球知名的半导体设备公司长期从事薄膜材料沉积的工艺、应用以及设备的研发工作,2020年10月加入公司,曾任公司高级总监、ALD事业部总经理。

  表2:公司高级管理人员和核心技术人员工作经历

  

  资料来源:Wind,2022年年报,关于调整核心技术人员的公告,山西证券研究所

  业绩表现亮眼,订单饱满盈利水平拾级而上

  营业收入高速增长,应用领域持续拓展订单饱满。得益于下游持续扩产和国产替代趋势,公司营业收入逐年提升。2022年营业收入17.06亿元,创历史新高。2023年前三季度,公司实现营收17.03亿元,同比增长71.71%。随着产品工艺应用领域的持续拓展,公司新签及在手订单金额不断增加。2022年新签订单(不含Demo订单)43.62亿元,较上年同期22.33亿元增加95.36%。据2023年年度业绩预增公告,截至2023年年末公司在手销售订单金额超过64亿元(不含Demo订单),较上年同期增加39.07%。

  图3:2018-2023前三季度营业收入及增速

  资料来源:wind,山西证券研究所

  图4:2021-2022年签订销售订单金额

  资料来源:2022年年报,山西证券研究所

  盈利水平拾级而上,成本管控能力有所增强。受益于产品工艺质量提升和营收增长带来的规模效应,公司盈利水平持续向好,毛利率连续增长,2023前三季度提升至50.35%,同比+2.03pcts;净利率逐年修复后短期承压,2023前三季度为15.83%,同比-7.84pcts。随着营收规模及管理水平不断提高,公司期间费用率明显下降,2022年为37.16%,同比-17.00pcts。2023前三季度为38.36%,与上年同期基本持平。

  图5:2018-2023前三季度盈利水平

  资料来源:wind,山西证券研究所

  图6:2018-2023前三季度期间费用率

  资料来源:wind,山西证券研究所

  【半导体制造核心设备,技术壁垒高国产替代空间广阔】

  下游扩产拉动需求,先进制程提升设备投资额

  半导体市场规模不断扩大,设备市场随之扩容。在5G/AI/IoT/自动驾驶等技术创新驱动下,2017-2022年全球半导体市场规模从4,122.21亿美元增长至5,740.84亿美元,CAGR为6.85%。下游销售额增长,上游设备/材料需求亦随之扩容。2017年-2022年,全球半导体设备市场规模从566亿美元提升至1,076亿美元,CAGR为13.71%。

  图7:全球半导体行业市场规模

  资料来源:Wind,WSTS,山西证券研究所

  图8:全球半导体设备行业市场规模

  资料来源:wind,山西证券研究所

  行业资本开支随景气度波动,周期筑底有望再度上行。全球半导体行业资本开支随景气度波动,每3-5年为一轮周期。据Statista数据,全球半导体行业资本开支从2010年的540亿美元提升至2022年的1,817亿美元,CAGR为10.64%。据Gartner预测,2023年受景气度影响,全球半导体行业资本开支将下降10.2%至1,635亿美元,2024年继续放缓与2023年基本持平,2025年将再次恢复上行。

  图9:2001-2025F全球半导体行业资本开支

  

  资料来源:Statista,Gartner,山西证券研究所

  设备投资占比最大,下游扩产拉动需求提升。新建晶圆厂设备支出占比约为70%-80%,厂房等占比约20-30%,制程越先进,设备投资额占比越高。近年全球晶圆厂大幅扩产,拉动上游设备需求提升。SEMI预测2024年全球半导体设备市场规模约为1,000亿美元,同比+14.4%。

  图10:2022-2024全球晶圆厂新建数量

  资料来源:SEMI,山西证券研究所

  图11:半导体产线建设投资额占比

  资料来源:中微公司,山西证券研究所

  先进制程工艺革新,提高产线设备投资额。以DRAM、3D NAND和逻辑芯片为代表的IC制造工艺不断革新,对设备性能提出更高要求,也带动设备价值量提升。据东京电子数据,DRAM制程达到1b,3D NAND层数达到2XX时,新建10万片/月晶圆制造产能的设备投资额均提升到90亿美元;而当逻辑芯片工艺提升到2nm时,晶圆制造设备投资额将会达到210亿美元。

  图12:制造工艺升级提高产线设备投资额

  资料来源:TEL《公司动态(投资者指南)》,山西证券研究所

  半导体制造关键工艺,设备技术壁垒高价值占比大

  薄膜沉积设备是半导体制造的核心设备。应用于集成电路领域的设备可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。前道工艺主要有清洗、氧化、光刻、刻蚀、离子注入、扩散、沉积、研磨/抛光等,对应设备主要有清洗设备、热处理设备、光刻设备、刻蚀设备、离子注入设备、薄膜沉积设备、机械抛光设备等。其中光刻、刻蚀、薄膜沉积设备是前道工艺三大核心设备,后道先进封装工艺也会用到部分前道设备。

  图13:半导体制造流程

  资料来源:Sk hynix,山西证券研究所

  图14:半导体设备分类

  资料来源:公开资料整理,山西证券研究所

  薄膜沉积设备技术壁垒高,价值占比大。薄膜沉积是指在基底上沉积特定材料形成薄膜,使之具有光学、电等方面的特殊性能。作为芯片结构内的功能材料层,薄膜性能直接影响电路图形转移质量和芯片芯能,除了与沉积材料有关,最主要受薄膜沉积工艺影响。不同芯片结构要求的薄膜种类、沉积工序和性能指标均有不同,因此设备设计制造壁垒较高,验证周期较长。2022年薄膜沉积设备在半导体设备市场中占比为22%,全球市场规模约为230亿美元左右。

  图15:2022年全球半导体设备分市场占比

  资料来源:SEMI,山西证券研究所

  图16:2022年半导体设备分类别占比

  资料来源:Gartner,中微公司,山西证券研究所

  均匀度、台阶覆盖率、沟槽填充能力是衡量沉积质量的主要指标。1)均匀度是衡量沉积薄膜厚度均匀与否的参数,高均匀度表明晶圆各区域形成的薄膜厚度非常均匀。2)台阶覆盖率是考量膜层跨台阶时,在台阶处厚度损失的指标,即跨台阶处的膜层厚度与平坦处膜层厚度的比值。台阶覆盖率越接近1,表明跨台阶处(底部或侧壁)膜层厚度与平坦处膜层相差越少,越远离1(即越小于1)表明跨台阶处膜层厚度对比平坦处膜层厚度越薄。3)沟槽填充是衡量沟槽(Gap)填充程度的参数。沟槽填充能力差,即没有填充到该填充的地方,就会形成孔洞(Void),会影响材料的致密性,从而影响薄膜强度,造成坍塌。

  表3:沉积质量衡量指标

  

  资料来源:Sk hynix,山西证券研究所

  不同工艺原理薄膜沉积设备各有优势。薄膜沉积设备按工艺原理不同可分为PVD、CVD和ALD设备,三者各有优势。1)物理气相沉积(PVD)是在真空条件下利用蒸发或溅射在基体表面沉积薄膜的技术,优点是无副产物,沉积薄膜纯度高。2)化学气相沉积(CVD)是通过气体混合的化学反应在基体表面沉积薄膜的工艺,优点是速率快,拥有优秀的台阶覆盖率。3)原子层沉积(ALD)可以将物质以单原子膜形式镀在基体表面,最大优势在于沉积层厚度极均匀并有优异的台阶覆盖率;但因为单原子层需要逐次沉积,沉积速率相对较慢,多用于DRAM电容器等纵横比高,需要高质量膜层的区域。

  图17:薄膜沉积设备分类

  资料来源:微导纳米招股说明书,山西证券研究所

  图18:化学气相沉积 vs 物理气相沉积

  

  资料来源:Sk hynix,山西证券研究所

  图19:传统CVD vs ALD

  资料来源:Sk hynix,《The Understanding of the Semiconductor Manufacturing Technology》,山西证券研究所

  不同技术原理适用不同薄膜种类和应用工序,PECVD设备市场占比最高。1)PVD可以沉积钨(W)、钴(Co)等无反应能力的纯净物材料,多用于纯净物的金属布线。2)CVD多用于不需要精准把控材料特性的沉积涂层,如各种消耗性膜层(硬掩模)或各种厚绝缘薄膜等。3)ALD适用28nm以下的双曝光、高k材料、金属栅、STI、BSI等工艺,广泛应用于CMOS器件、存储芯片、TSV封装等领域。2022年全球各类薄膜沉积设备市场份额中,PECVD占比约28%,溅射PVD占比20%,管式CVD占比13%,ALD占比13%,非管式LPCVD占比10%。

  图20:薄膜沉积在逻辑芯片应用图示

  资料来源:公司2023半年报,山西证券研究所

  图21:薄膜沉积在DRAM存储芯片应用图示

  资料来源:公司2023半年报,山西证券研究所

  图22:薄膜沉积在3D NAND存储芯片应用图示

  资料来源:公司2023半年报,山西证券研究所

  图23:2022年薄膜沉积设备市场份额占比

  资料来源:Gartner,中微公司,山西证券研究所

  芯片制造工艺进步及结构复杂化提高薄膜设备需求。90nm CMOS芯片工艺中,大约需要40道薄膜沉积工序,而在FinFET工艺产线,大约需要超过100道薄膜沉积工序,涉及的薄膜材料也由6种增加到近20种。在存储芯片领域,3D NAND堆叠到96层时,实际沉积层数已经达到了192层以上。制造工艺进步和先进制程的多重成像技术共同对薄膜工艺提出更高性能要求,并拉动薄膜设备需求提升。

  图24:不同工艺节点薄膜沉积工序对比

  资料来源:公司2023年半年报,山西证券研究所

  图25:3D NAND存储单元关键工艺步骤

  资料来源:Lam Research,山西证券研究所

  自主可控趋势明确,国产替代空间广阔

  中国大陆是全球最大半导体设备市场,国产化率低进口依赖严重。2017-2022年中国大陆半导体设备市场规模从82.3亿美元提升至282.7亿美元,CAGR为27.99%。以22%占比测算,其中薄膜沉积设备市场规模约为62.2亿美元。2020年以来中国大陆一直是全球半导体设备第一大市场,但国产化率低,高端设备尤其是光刻机领域主要依赖进口。

  图26:中国大陆半导体设备行业市场规模

  资料来源:Wind,SEAJ,山西证券研究所

  图27:半导体设备进口金额

  资料来源:Wind,海关总署,山西证券研究所

  “卡脖子”局面升级,自主可控势在必行。2019年后,包括华为在内的多家公司被美国列入实体清单;至2023年10月17日,美国商务部工业和安全局(BIS)发布出口禁令新规,进一步限制中国获得先进计算芯片、开发和维护超级计算机以及制造先进工艺的能力。此外,2023年6月30日,荷兰宣布将限制多款DUV高端型号光刻机设备出货,目前该管制放缓至年底生效。2023年7月23日,日本正式实施尖端半导体出口管制,将尖端半导体制造设备等23个品类列入出口管理限制名单。短期来看,欧美日关键环节高端设备的出口限制会给国内半导体行业升级和扩产带来阵痛,但长期来看,是对国产替代逻辑的进一步催化。

  表4:2023年海外对华半导体制裁情况

  

  资料来源:美国商务部、荷兰政府、日本经济产业省网站,山西证券研究所

  海外厂商占据薄膜沉积多数份额,国产替代空间广阔。据MIR睿工业数据,2021年中国PVD市场由AMAT占据近60%市场份额,CVD市场由AMAT、WONIK IPS、LAM、TEL等海外厂商占据70%以上份额。根据国产设备招投标数据估算,2021年薄膜沉积设备国产化率在20%以下,国产化进程较慢。假设2022年国产化率为20%,国内厂商对应市场规模约为12.5亿美元。若国产化率提升至50%,对应全球千亿级设备市场规模,国内厂商未来市场空间可达30亿美元以上。

  表5:主要半导体设备竞争格局

  

  资料来源:公开资料整理,山西证券研究所

  图28:2020-2021年半导体设备招标国产占比

  资料来源:采招网,前瞻产业研究院《2023年中国半导体设备行业全景图谱,山西证券研究所

  图29:2021年中国CVD设备市场份额

  资料来源:MIR睿工业,山西证券研究所

  【技术实力确立领先优势,产能扩充+布局拓展护航长期增长】

  工艺覆盖面广泛技术先进,客户资源稳定竞争优势明显

  公司设备型号丰富,广泛覆盖不同薄膜材料工艺需求。公司薄膜工艺应用覆盖面不断拓宽,产品已适配国内最先进的28/14nm逻辑芯片、19/17nmDRAM芯片和64/128层3D NAND FLASH晶圆制造产线,及2.5D、3D先进封装和其他泛半导体领域。

  表6:公司设备工艺种类及产业化应用情况

  

  资料来源:招股说明书,山西证券研究所

  PECVD技术领先,高市占率确立龙头地位。公司核心产品PECVD已实现通用介质薄膜材料(包括SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG等)、先进介质薄膜材料(包括ACHM、LoKⅠ、LoKⅡ、ADCⅠ、HTN、a-Si等)和Thick TEOS等介质薄膜材料设备的产业化应用。以国产化率20%和PECVD在设备类型中份额占比28%估算,2022年国产PECVD市场规模约为3.5亿美元。公司2022年PECVD设备实现收入15.63亿元,市场份额在国内设备厂中占据绝对领先优势。

  图30:公司主营业务收入结构

  资料来源:招股说明书,2022年年报,山西证券研究所

  图31:公司各系列销量情况

  资料来源:招股说明书,2022年年报,山西证券研究所

  设备性能对标国际先进,客户涵盖国内领先半导体制造商。公司已形成了一系列具有自主知识产权的核心技术,在确保实现薄膜工艺性能同时,能够有效提升客户产线产能并减少客户产线生产成本。公司设备已成功应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微等行业领先集成电路制造企业产线,性能参数已达到国际同类设备水平。

  表7:公司产品关键性能参数和先进水平

  

  资料来源:招股说明书,山西证券研究所

  产能扩充利好份额提升,布局键合受益先进封装加速

  募投扩产与研发项目,把握国内替代市场扩容机遇。为提高市场占有率并保持技术领先性,公司募资并投向高端半导体设备扩产、先进半导体设备的技术研发与改进、ALD设备研发与产业化项目。包括面向28nm-10nm制程PECVD设备多种工艺型号开发,面向10nm以下制程PECVD设备的平台架构研发及UV Cure系统设备研发,面向28nm-10nm制程ALD设备平台架构、工艺机型及不同腔室数量的机台型号的开发。

  表8:募投项目及建设情况

  

  资料来源:招股说明书,2023年半年报,2023年半年度募集资金存放与实际使用情况的专项报告,山西证券研究所

  抢抓先进封装加速机遇布局混合键合领域,产业化及验证进展顺利。后摩尔时代芯片三维集成趋势和AI创新带来的高性能硬件需求共同拉动了混合键合设备需求增长。公司混合键合系列产品包括晶圆对晶圆键合(Wafer to Wafer Bonding)产品和芯片对晶圆键合表面预处理(Die to Wafer Bonding Preparation and Activation)产品。截至2023H1末,公司研制的晶圆对晶圆键合产品Dione 300实现首台产业化应用,并获得重复订单;公司芯片对晶圆键合表面预处理产品Pollux已出货至客户端进行产业化验证,目前进展顺利。

  图32:晶圆键合设备应用示意图

  资料来源:2023年半年报,山西证券研究所

  重注研发坚持创新驱动,股权激励彰显发展信心

  研发投入持续增长,费用率高于可比公司水平。公司持续维持高研发投入,2018-2022年研发费用从1.08亿元提升至3.79亿元,CAGR为36.82%。2023前三季度公司投入研发费用3.54亿元,同比增长60.33%。受营业收入高增影响,公司近年研发费用率略有下滑,2022年和2023年前三季度分别为22.21%和20.79%,高于同行业可比公司水平。

  图33:2018-2023前三季度研发支出及费用率

  资料来源:wind,山西证券研究所

  图34:2018-2023前三季度可比公司研发费用率

  资料来源:wind,山西证券研究所

  研发团队不断扩充,优质人才梯队奠定技术实力。截至2023H1,公司研发人员共计404人,较2022年底增加70人,研发人员占总人数比重为43.72%。其中,硕士及以上学历人数占比达61.39%。

  图35:2021-2023H1研发人员数量

  资料来源:2022年年报,2023年半年报,山西证券研究所

  图36:2023H1研发人员学历结构

  资料来源:2023年半年报,山西证券研究所

  股权激励覆盖范围广泛,目标设定彰显长期发展信心。公司上市以来实施两期限制性股票激励计划和一期股票增值权激励计划。2022年限制性股票激励计划向513名激励对象授予280万股限制性股票,约占2022H1末全部职工人数的76.37%;2023年限制性股票激励计划拟向激励对象授予不超过375万股限制性股票,首次授予的激励对象不超过701人,约占2023H1末全部职工人数的 75.87%。2023年限制性股票激励计划以2022年营业收入和净利润为业绩基数,首次和预留部分设定的目标值为2024-2027年收入增长不低于95%/160%/210%/250%,剔除各期股份支付费用影响的归母净利润增长不低于106%/159%/211%/257%。

  表9:公司股权激励计划情况

  

  资料来源:关于向2022年限制性股票激励计划激励对象授予限制性股票的公告,关于向2022年股票增值权激励计划激励对象授予股票增值权的公告,关于向2023年限制性股票激励计划激励对象首次授予限制性股票的公告,山西证券研究所

  【盈利预测及投资建议】

  根据公司基本面情况分析,结合市场空间与行业供需,我们认为下游资本开支波动和国产替代驱动的市场份额变化是影响公司营收及利润的主要因素。我们将公司业务按PECVD/ALD/SACVD和其他业务板块拆分,做出以下关键假设以预测未来业绩。

  PECVD:公司核心产品PECVD设备市场份额在国内设备厂商中占据绝对领先优势,已实现通用介质薄膜材料、先进介质薄膜材料和Thick TEOS等介质薄膜材料设备的产业化应用,成功导入行业领先集成电路制造企业产线,性能参数已达到国际同类设备水平。假设薄膜沉积设备国产化率不断提升,且公司市场份额持续领先,预测2023-2025年公司PECVD设备分别实现营收24.77/35.72/46.16亿元,同比增加58.46%/44.22%/29.20%,毛利率分别为50.00%/ 51.00%/52.00%。

  ALD:公司主营产品为PE-ALD和Thermal-ALD设备,2023H1公司PE-ALD(PF-300T Astra)、Thermal-ALD(PF-300T Altair、TS-300 Altair)获得原有客户及新客户订单,并出货至不同客户进行产业化验证;PE-ALD(NF-300H Astra)设备实现首台产业化应用。假设ALD系列产品客户端验证进展顺利,持续获得新订单,预测2023-2025年公司ALD设备分别实现营收0.70/1.93/4.26亿元,同比增加115.88%/175.00%/120.00%,毛利率分别为48.00%/50.00%/ 52.00%。

  SACVD:该系列设备主要应用于深宽比小于7:1的沟槽填充工艺,公司SA TEOS、BPSG、SAF薄膜工艺设备在芯片制造领域均已取得客户验收,2022年实现销售收入8,947.62万元,同比增长117.39%。假设SACVD系列产品持续拓展应用领域,不断扩大工艺覆盖度,提升市占率,预测2023-2025年公司SACVD设备分别实现营收1.69/2.61/3.73亿元,同比增加89.23%/54.00%/43.00%,毛利率分别为48.00%/50.00%/52.00%。

  表10:公司分板块业务预测(单位:百万元)

  

  资料来源:Wind,山西证券研究所

  公司主要竞争对手为海外企业,国内半导体设备商中,中微公司在薄膜沉积领域主要从事MOCVD和LPCVD业务并在研ALD产品系列;北方华创薄膜沉积业务包括面向集成电路、功率器件、先进封装等领域的PVD、CVD和ALD设备;微导纳米在半导体领域产品主要为ALD设备。

  预计2023-2025年公司归母净利润分别为6.09/8.36/10.96亿元,同比增长65.4%/37.3%/31.1%,EPS为3.24/4.44/5.83元,对应2024年2月2日收盘价159.66元,PE为49.3/35.9/27.4倍。考虑公司在薄膜沉积市场中占比最大的PECVD设备领域已占据领先优势,给予一定估值溢价。随着产能陆续释放,国产替代与产品系列拓展将为公司业绩注入长期增长动能,首次覆盖给予“增持-A”评级。

  表11:可比公司估值表

  

  资料来源:wind,山西证券研究所

  (注:可比公司数据采用Wind一致预期,股价时间为2024年2月2日收盘价)

  【风险提示】

  1)下游恢复不及预期风险。受全球宏观经济衰退影响,下游需求疲软行业资本开支增速放缓。公司客户主要为IDM厂商和晶圆厂、封测厂,目前下游正需求缓慢修复。但若修复不及预期,则存在客户缩减资本开支推迟设备采购的风险,将对公司收入和业绩产生负面影响。

  2)市场竞争加剧风险。公司主营薄膜沉积设备领域由美日韩厂商长期主导,目前正处于技术追赶和国产替代趋势下。若海外厂商为巩固国内市场份额采取相应倾销策略,则公司面临市场竞争加剧风险。若公司不增强技术储备、提高经营规模,会导致公司竞争力下降,对业绩产生负面影响。

  3)扩产不及预期风险。为提高市场占有率并保持技术领先性,公司募资并投向高端半导体设备扩产、先进半导体设备的技术研发与改进、ALD设备研发与产业化项目。若募投项目进展不及预期,或产能释放不及时无法满足客户需求,将对公司业绩和毛利率产生负面影响。

  4)研发进展不及预期。公司抢抓先进封装加速机遇,积极布局混合键合领域。目前产业化及验证进展顺利。但若研发进展及技术突破不及预期,将对公司市场开拓产生不利影响。

  财务报表预测和估值数据汇总

  

  资料来源:最闻,山西证券研究所

有问题请联系 767871486@qq.com 商务合作广告联系 QQ:767871486
www.chaguwang.cn 查股网